Verilog Coding Style for Synthesis

Verilog Coding Style for Synthesis[download]

“full_case parallel_case”, the Evil Twins of Verilog Synthesis와 마찬가지로 C. E. Cummings씨가 SNUG99에 publish한 것이다.

빠듯한 일정속에서 RTL simulation을 마치고 합성 후 gate-level simulation을 했을 때, waveform view에 unknown value들이 빨간색으로 화면 가득채우고 있다면? OTL….

이 문서는 그런상황이 최소화되도록하기 위한 Verilog Coding방법을 설명하고 있다. 그러나, 몇가지 내용은 글쎄…

1. Incomplete sensitivity list
always블록의 sensitivity list에 입력 signal을 빠뜨려 latch가 발생된 경우는 칩 설계경험이 있다면 알만한 내용이다.

2. Complete sensitivity list with mis-ordered assignment
always블록 내에서 assignment의 순서가 뒤바뀐 경우. 즉, assignment들의 순서에 따라 결과가 달라지는 경우를 설명. 먼저 기술된 assignment의 결과가 나중 기술된 assignment에 사용되지않도록 순서를 정하라고하는데, 이것은 Non-blocking assignment를 사용하면 근본적으로 해결된다.

Donny’s Guideline: always블록내의 모든 assignment는 non-blocking assignment를 사용한다.

즉, ‘=’ 가 아니라 ‘<=’를 사용하라는 의미이다. Concurrent한 동작이 일어나는 hardware을 sequential하게 기술하는 것 자체가 말이 안되기 때문이다.

3. Functions
Function을 잘못기술하면 latch가 발생된다는 내용. 그러나, 합성할 회로에 굳이 function을 사용할 필요가 있을까? 합성후 function은 말그대로 기능블록이 되어야하므로 차라리 별도의 module로 만드는 것이 구조적인 이해나 analisys가 쉽다고 생각한다.
Donny’s Guideline: Synthesis할 부분에 대해서는 function을 사용하지 않는다.

4. Full Case / Parallel Case
“full_case parallel_case”, the Evil Twins of Verilog Synthesis에 기술된 내용의 요약판.
Donny’s Guideline: synopsys full_case나 parallel case directive를 사용하지 말자.

5. casex, casez
casex 대신 casez를 사용하라. casex의 경우 입력이 unknown(‘x’)일 때도 정상적으로 동작하는 경우가 존재한다는 내용이다. casez의 경우에도 입력이 floating (‘z’)인 경우는 동작하지만 이런 경우는 덜 빈번하므로 casez를 쓰는 것이 좋다고 하는데… 과연??
RTL simulation만 할 경우에는 입력이 unknown상태가 될 가능성이 없으므로, RTL과 gate-level을 혼합하여 simulation하는 경우만 해당되는 내용이다.
반대로 casez를 사용하려면 don’t care를 표기하기위해 ‘x’대신 ‘?’를 사용해야하는데, 복잡한 case문을 즐겨사용하는 내 경우엔 ‘?’사이에 섞여있는 ’0′을 발견하기란 쉽지 않기 때문에 casex를 더 선호한다.

6. Assigning ‘x’
‘x’값을 입력시키면 simulator에선 ‘unkown’으로 인식되고, synthesizer에서는 ‘don’t care’로 인식한다. 특별한 경우가 아니라면 일부러 ‘x’를 입력하는 일은 안하는게 상책.

7. translate_off/translate_on
이 directive를 사용하면 synthesizer에서 해당부분을 읽지(translate)도 않으므로 당연히 주의해서 사용해야한다. 주로 debugging과 관련된 code나 simulation model을 사용할 때 사용되는데, 가급적 debugging code는 testbench쪽으로 옮기면 위 directive를 사용할 일이 매우 적다.
Donny’s Guideline: 합성할 code와 시뷸레이션할 code를 분리하여 가급적 translate_off / translate_on를 쓰지 말자.

8. Timing Delays
합성시 모든 timing 정보는 무시된다. (두말 하면 잔소리)
Donny’s Guideline: Timing정보를 합성에 반영하고 싶다면 dc_script_begin/dc_script_end를 이용하여 code상에 constraint를 함께 기술하는 방법도 있다.

정리하자면 복잡하거나 특별해보이는 기능을 사용하여 설계하면 그만큼 문제가 발생할 소지가 크다는 것이다. 이러한 guideline을 준수하여 code를 작성한다면 시행착오를 어느정도 줄일 수 있다.

하지만, 아무리 HDL이란 언어를 잘 사용하더라도 회로를 설계하는 것은 C프로그램을 작성하는 것은 차이가 많기 때문에 언어이외에 회로에 대한 개념을 숙지하는 것이 필수적이다.

이러한 복잡한 Guideline보다도 더 강력한 방법이 있는데 Synopsys의 HDL Compiler를 사용하는 것이다. Design Compiler에서 Verilog코드를 읽어들이면 화면에 글씨들이 잔뜩지나가는데 처음에는 대개 이 내용을 무시한다. 하지만, 그 내용이 HDL Compiler의 합성결과이고 합성시 문제가 될만 한부분을 미리 다 알려준다. 즉, case statement가 full case인가 parallel한가 latch가 생성되는가 flipflop이 생성되는가 모든 정보를 알 수 있다.

따라서, 이 내용을 무시하고 합성후 gate-level simulation을 하는 것은 미리 알 수 있는 문제를 확인사살하는 시간 낭비일 뿐이고, 주의깊게 결과를 확인하지않으면 문제를 방치한채로 Tape-out하는 최악의 상황에 이를 수 있다.

의도치 않게 latch가 생성되었는데 이 latch의 입력을 testbench에서 바꾸어보지 않는다면, latch의 영향이 나타나지 않는다. Code Coverage를 확인하여 문제를 찾는 방법도 있겠지만, 처음부터 RTL 코드 작성을 잘하고 합성시 HDL Compiler결과만 확인한다면 근본적으로 문제를 해결할 수 있다.
Donny’s Guideline: HDL Compiler결과를 꼼꼼히 확인하자

8 thoughts on “Verilog Coding Style for Synthesis

  1. 동감합니다. 실제 verilog접한 경험도 짧지만, (경험을 기반으로 한) 제 나름대로의 guideline을 조목조목 잘 정리해주셨네요. 그러고보면 결국 가야할 길은 하나인가 봅니다^^;

    저 위의 guideline에 덧붙여 제가 주의하고자 하고 강조하는 부분들은 가능하면 combinational / sequential logic을 분리해서 설계하자입니다. 그만큼 coding시, gate-level로 어떻게 구성될 것인지까지 고민하다보면 뒤에서 삽질이 줄어들겠죠.(향후 debugging에도 용이하고요.)
    + always 안의 case나 if-else state사용할 때는 생성하고자 하는 signal의 모든 state를 빠짐없이 정의해주기를 요구합니다. 다소 번거롭고, 귀찮을지도 모르지만 발생가능한 모든 상태에 대해서 그 state를 정의해주지 않았다가 발생된 사건들을 보면…

    • 결국 만들고자하는 것이 회로이기 때문에 가장 확실한 방법을 선호하게 되는 거겠죠.

      combinational / sequential을 분리하는 것 역시 중요한 부분이지요. 저도 비슷한데 flip-flop을 발생시키는 always block에는 else if를 한번 이상 안쓰고, else if나 case가 필요한 부분은 별도의 combinational logic으로 만듭니다.

      case와 if-else의 기술이 사실 매우 중요하지요. else-if tree가 한번 이상 갈라지면 else-if condition에는 한가지 조건만 써야합니다. 두가지 조건을 조합하면 dependency가 생겨 unreachable statement가 발생할 가능성이 높아지죠.

      우주임이 언급한 “모든 state를 정의”는 if-else가 아닌 case일 때 full-case를 기술하는 것과 같은 맥락이고, 제가 말한 unreachable statement는 parallel하지 않은 case문과 같은 의미죠.

      제 경우 복잡한 if-else문은 condition간의 관계가 한눈에 안들어오기 때문에 case문을 선호합니다. 덕분에 제가 짠 코드는 무슨 기계어 같습니다. 제 background가 마이크로프로세서라서 마이크로코드를 사용하는 것과 같은 컨셉을 사용합니다. ^^

  2. 도대체 두분이서 이렇게 글쓰고도
    대화가 통하신단 말씀이세요??
    오~지저스 지저스!

    제가 보기엔 그저 연극에서나 나오는
    말도 안되는 언어 유희로 개그를 하는것 처럼 보인다요

  3. Verilog don’t care case로 Google 검색하니 이 페이지가 제일 먼저 뜨네.
    반갑고 신기하구먼.
    근데 첨부 파일에서는 ?를 쓰라는 것 같은데 넌 x를 더 선호한다고라..

    • 관련된 검색어로 구글에서 검색하면 대체로 상당히 우선 순위를 갖고 검색되더라구요. 10년 넘은 도메인이라서 그런가? ㅎ

  4. 참… don’t care로 x를 쓰거나 ?를 쓰는 것은 큰 의미는 없다고 봅니다. x가 가독성이 좋아서 x를 선호합니다. ㅎ

    • X의 경우 받아들이는 경우가 더 많아서, casez와 ?를 조합하는 것이 더 안전하다고 알려져 있습니다.
      일례로, case문을 결정하는 입력이 unknown인 경우 casex와 x를 조합하는 경우 mathcing이 일어나기 때문에 에러처리가 불가능하죠 :)
      Functional Simulation에서의 unknown은 대부분 memory model에서 발생하더군요.

Leave a Reply to babyworm Cancel reply

Your email address will not be published. Required fields are marked *

You may use these HTML tags and attributes: <a href="" title=""> <abbr title=""> <acronym title=""> <b> <blockquote cite=""> <cite> <code> <del datetime=""> <em> <i> <q cite=""> <strike> <strong>